我是新手,一个cpld问题
是一个简单四选一的程序!
编译烧入,一切正常,但是就是无法使用。不知道我哪里还有问题???我用得是
epm7128stc100-15芯片
用单片机控制所有的口都一直是低电平。
我得邮箱是lvxingli@263.net
library ieee;
use ieee.std_logic_1164.all;
entity mux is port(
a, b, c, d: in std_logic;
s: in std_logic_vector(1 downto 0);
x: out std_logic);
end mux;
architecture archmux of mux is
begin
mux4_1:process(a,b,c,d)
begin
if s = "00" then
x <= a;
elsif s = "01" then
x <= b;
elsif s = "10" then
x <= c;
else
x <= d;
end if;
end process mux4_1;
end archmux;
|
|